Evaluating Built-in ECC of FPGA on-chip Memories for the Mitigation of Undervolting Faults

03/29/2019
by   Behzad Salami, et al.
0

Voltage underscaling below the nominal level is an effective solution for improving energy efficiency in digital circuits, e.g., Field Programmable Gate Arrays (FPGAs). However, further undervolting below a safe voltage level and without accompanying frequency scaling leads to timing related faults, potentially undermining the energy savings. Through experimental voltage underscaling studies on commercial FPGAs, we observed that the rate of these faults exponentially increases for on-chip memories, or Block RAMs (BRAMs). To mitigate these faults, we evaluated the efficiency of the built-in Error-Correction Code (ECC) and observed that more than 90 correctable and further 7 efficiency is the result of the single-bit type of these faults, which are then effectively covered by the Single-Error Correction and Double-Error Detection (SECDED) design of the built-in ECC. Finally, motivated by the above experimental observations, we evaluated an FPGA-based Neural Network (NN) accelerator under low-voltage operations, while built-in ECC is leveraged to mitigate undervolting faults and thus, prevent NN significant accuracy loss. In consequence, we achieve 40 the minimum safe voltage level, with a negligible NN accuracy loss, thanks to the substantial fault coverage by the built-in ECC.

READ FULL TEXT
research
05/10/2020

Power and Accuracy of Multi-Layer Perceptrons (MLPs) under Reduced-voltage FPGA BRAMs Operation

In this paper, we exploit the aggressive supply voltage underscaling tec...
research
12/26/2019

On the Resilience of Deep Learning for Reduced-voltage FPGAs

Deep Neural Networks (DNNs) are inherently computation-intensive and als...
research
01/18/2023

Chip Guard ECC: An Efficient, Low Latency Method

Chip Guard is a new approach to symbol-correcting error correction codes...
research
10/12/2021

MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMs

On-chip memory (usually based on Static RAMs-SRAMs) are crucial componen...
research
06/14/2018

On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation

Machine Learning (ML) is making a strong resurgence in tune with the mas...
research
12/15/2016

A Novel RTL ATPG Model Based on Gate Inherent Faults (GIF-PO) of Complex Gates

This paper starts with a comprehensive survey on RTL ATPG. It then propo...
research
04/25/2019

TS Cache: A Fast Cache with Timing-speculation Mechanism Under Low Supply Voltages

To mitigate the ever-worsening Power Wall problem, more and more applica...

Please sign up or login with your details

Forgot password? Click here to reset