Empowering Data Centers for Next Generation Trusted Computing

11/01/2022
by   Aritra Dhar, et al.
0

Modern data centers have grown beyond CPU nodes to provide domain-specific accelerators such as GPUs and FPGAs to their customers. From a security standpoint, cloud customers want to protect their data. They are willing to pay additional costs for trusted execution environments such as enclaves provided by Intel SGX and AMD SEV. Unfortunately, the customers have to make a critical choice – either use domain-specific accelerators for speed or use CPU-based confidential computing solutions. To bridge this gap, we aim to enable data-center scale confidential computing that expands across CPUs and accelerators. We argue that having wide-scale TEE-support for accelerators presents a technically easier solution, but is far away from being a reality. Instead, our hybrid design provides enclaved execution guarantees for computation distributed over multiple CPU nodes and devices with/without TEE support. Our solution scales gracefully in two dimensions – it can handle a large number of heterogeneous nodes and it can accommodate TEE-enabled devices as and when they are available in the future. We observe marginal overheads of 0.42–8% on real-world AI data center workloads that are independent of the number of nodes in the data center. We add custom TEE support to two accelerators (AI and storage) and integrate it into our solution, thus demonstrating that it can cater to future TEE devices.

READ FULL TEXT

page 1

page 11

research
05/25/2023

ACAI: Extending Arm Confidential Computing Architecture Protection from CPUs to Accelerators

Trusted execution environments in several existing and upcoming CPUs dem...
research
05/18/2022

Confidential Machine Learning within Graphcore IPUs

We present IPU Trusted Extensions (ITX), a set of experimental hardware ...
research
05/01/2021

Privacy and Integrity Preserving Training Using Trusted Hardware

Privacy and security-related concerns are growing as machine learning re...
research
07/22/2021

A new step for computing

The data center of tomorrow is a data center made up of heterogeneous sy...
research
03/02/2022

Computation offloading to hardware accelerators in Intel SGX and Gramine Library OS

The Intel Software Guard Extensions (SGX) technology enables application...
research
06/30/2022

DarKnight: An Accelerated Framework for Privacy and Integrity Preserving Deep Learning Using Trusted Hardware

Privacy and security-related concerns are growing as machine learning re...
research
06/28/2023

Evaluating Portable Parallelization Strategies for Heterogeneous Architectures in High Energy Physics

High-energy physics (HEP) experiments have developed millions of lines o...

Please sign up or login with your details

Forgot password? Click here to reset