Domain-Specialized Cache Management for Graph Analytics

01/22/2020
by   Priyank Faldu, et al.
0

Graph analytics power a range of applications in areas as diverse as finance, networking and business logistics. A common property of graphs used in the domain of graph analytics is a power-law distribution of vertex connectivity, wherein a small number of vertices are responsible for a high fraction of all connections in the graph. These richly-connected, hot, vertices inherently exhibit high reuse. However, this work finds that state-of-the-art hardware cache management schemes struggle in capitalizing on their reuse due to highly irregular access patterns of graph analytics. In response, we propose GRASP, domain-specialized cache management at the last-level cache for graph analytics. GRASP augments existing cache policies to maximize reuse of hot vertices by protecting them against cache thrashing, while maintaining sufficient flexibility to capture the reuse of other vertices as needed. GRASP keeps hardware cost negligible by leveraging lightweight software support to pinpoint hot vertices, thus eliding the need for storage-intensive prediction mechanisms employed by state-of-the-art cache management schemes. On a set of diverse graph-analytic applications with large high-skew graph datasets, GRASP outperforms prior domain-agnostic schemes on all datapoints, yielding an average speed-up of 4.2 best-performing prior scheme. GRASP remains robust on low-/no-skew datasets, whereas prior schemes consistently cause a slowdown.

READ FULL TEXT

page 9

page 12

research
01/23/2020

A Closer Look at Lightweight Graph Reordering

Graph analytics power a range of applications in areas as diverse as fin...
research
06/15/2020

Addressing Variability in Reuse Prediction for Last-Level Caches

Last-Level Cache (LLC) represents the bulk of a modern CPU processor's t...
research
11/24/2021

Locality-based Graph Reordering for Processing Speed-Ups and Impact of Diameter

Graph analysis involves a high number of random memory access patterns. ...
research
07/28/2021

Reuse Cache for Heterogeneous CPU-GPU Systems

It is generally observed that the fraction of live lines in shared last-...
research
03/09/2022

Limited Associativity Caching in the Data Plane

In-network caching promises to improve the performance of networked and ...
research
01/14/2017

HoLiSwap: Reducing Wire Energy in L1 Caches

This paper describes HoLiSwap a method to reduce L1 cache wire energy, a...
research
06/30/2020

A perspective on how to conduct responsible anti-human trafficking research in operations and analytics

Human trafficking, the commercial exploitation of individuals, is a gros...

Please sign up or login with your details

Forgot password? Click here to reset