Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow

05/25/2018
by   Yongfu Li, et al.
0

While standard cell layouts are drawn with minimum design rules for maximum benefit of design area shrinkage, the complicated design rules begin to cause difficulties with signal routes accessing the pins in standard cell layouts. Multiple design iterations are required to resolve routing issues, thus increasing the runtime and the overall chip area. To optimize the chip performance, power and area (PPA) and improve the routability, it is necessary to consider the pin accessibility during standard cell development phase so that each cell is designed to maximize the number of feasible pin-access solutions available to the router. As part of the Synopsys IC Compiler Library Preparation Reference Methodology, the Synopsys Pin Access Checker (PAC) reports DRC violations associated with the standard cell. Based on Synopsys PAC's methodology, we demonstrate several methods to improve the probability of detecting pin accessibility issues, such as reducing the number of cells required for each Synopsys 'testcell', increasing the complexity of the pin connectivity assignment and recommending the router constraints.

READ FULL TEXT

page 6

page 8

page 9

page 12

page 17

page 19

page 20

page 21

research
05/28/2018

Standard Cell Library Evaluation with Multiple lithography-compliant verification and Improved Synopsys Pin Access Checking Utility

While standard cell layouts are drawn with minimum design rules to maxim...
research
05/28/2018

Multiple-Lithography-Compliant Verification for Standard Cell Library Development Flow

Starting from 22-nm, a standard cell must be designed to be full lithogr...
research
07/30/2018

Standard Cell Library Design and Optimization Methodology for ASAP7 PDK

Standard cell libraries are the foundation for the entire backend design...
research
07/25/2022

AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining

Custom standard cell libraries can improve the final quality of the corr...
research
05/21/2021

Multi-objective Digital Design Optimisation via Improved Drive Granularity Standard Cells

To tackle the complexity of state-of-the-art electronic systems, silicon...
research
10/02/2018

An Automated System for Checking Lithography Friendliness of Standard Cells

At advanced process nodes, lithography weakpoints can exist in physical ...
research
02/01/2019

Hybrid Cell Assignment and Sizing for Power, Area, Delay Product Optimization of SRAM Arrays

Memory accounts for a considerable portion of the total power budget and...

Please sign up or login with your details

Forgot password? Click here to reset