CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems

09/25/2021
by   Lokesh Siddhu, et al.
0

Processing cores and the accompanying main memory working in tandem enable the modern processors. Dissipating heat produced from computation, memory access remains a significant problem for processors. Therefore, processor thermal management continues to be an active research topic. Most thermal management research takes place using simulations, given the challenges of measuring temperature in real processors. Since core and memory are fabricated on separate packages in most existing processors, with the memory having lower power densities, thermal management research in processors has primarily focused on the cores. Memory bandwidth limitations associated with 2D processors lead to high-density 2.5D and 3D packaging technology. 2.5D packaging places cores and memory on the same package. 3D packaging technology takes it further by stacking layers of memory on the top of cores themselves. Such packagings significantly increase the power density, making processors prone to heating. Therefore, mitigating thermal issues in high-density processors (packaged with stacked memory) becomes an even more pressing problem. However, given the lack of thermal modeling for memories in existing interval thermal simulation toolchains, they are unsuitable for studying thermal management for high-density processors. To address this issue, we present CoMeT, the first integrated Core and Memory interval Thermal simulation toolchain. CoMeT comprehensively supports thermal simulation of high- and low-density processors corresponding to four different core-memory configurations - off-chip DDR memory, off-chip 3D memory, 2.5D, and 3D. CoMeT supports several novel features that facilitate overlying system research. Compared to an equivalent state-of-the-art core-only toolchain, CoMeT adds only a  5 made open for public use under the MIT license.

READ FULL TEXT

page 3

page 7

page 10

page 14

page 15

page 16

page 17

page 19

research
08/29/2018

Implications of Integrated CPU-GPU Processors on Thermal and Power Management Techniques

Heterogeneous processors with architecturally different cores (CPU and G...
research
07/31/2020

Thermal Analysis of a 3D Stacked High-Performance Commercial Microprocessor using Face-to-Face Wafer Bonding Technology

3D integration technologies are seeing widespread adoption in the semico...
research
08/28/2018

TRINITY: Coordinated Performance, Energy and Temperature Management in 3D Processor-Memory Stacks

The consistent demand for better performance has lead to innovations at ...
research
03/19/2019

Power and Thermal Analysis of Commercial Mobile Platforms: Experiments and Case Studies

State-of-the-art mobile processors can deliver fast response time and hi...
research
01/10/2023

Harvesting L2 Caches in Server Processors

We make three observations in modern processors: (1) LLC capacity is get...
research
03/07/2023

Boosting the 3D thermal-aware floorplanning problem through a master-worker parallel MOEA

The increasing transistor scale integration poses, among others, the the...

Please sign up or login with your details

Forgot password? Click here to reset