ClepsydraCache – Preventing Cache Attacks with Time-Based Evictions

04/23/2021
by   Jan Philipp Thoma, et al.
0

Both the shift towards attacks on the microarchitectural CPU level and the ongoing transition towards cloud computing and shared VM hosts have increasingly drawn attention towards cache attacks. In these fields of application, cache side-channels lay the cornerstone that is leveraged by attackers to exfiltrate secret information from the CPU microarchitecture. We build upon the observation that current cache side-channel attacks mostly exploit the architectural visibility of conflicting cache addresses. With ClepsydraCache, we break away this foundation by unraveling the linkage between cache evictions and accesses to conflicting addresses. Our solution takes a new approach that assigns each cache entry a random time-to-live to reduce the amount of cache conflicts. By making those conflicts unobservable to an attacker, ClepsydraCache efficiently protects against attacks like Prime+Probe and Flush+Reload. Furthermore, our solution is applicable to large last-level caches which are the most common targets for cache attacks. We implement ClepsydraCache using the Gem5 simulator and provide a proof-of-concept hardware design and simulation using 65-nm CMOS technology. ClepsydraCache matches the performance of traditional cache architectures while improving the system security against cache attacks.

READ FULL TEXT

page 12

page 16

page 17

page 18

research
07/13/2023

PREFENDER: A Prefetching Defender against Cache Side Channel Attacks as A Pretender

Cache side channel attacks are increasingly alarming in modern processor...
research
02/08/2022

CVA6's Data cache: Structure and Behavior

Since Spectre and Meltdown's disclosure in 2018, a new category of attac...
research
07/23/2020

Observing the Invisible: Live Cache Inspection for High-Performance Embedded Systems

The vast majority of high-performance embedded systems implement multi-l...
research
10/02/2018

Theory and Practice of Finding Eviction Sets

Many micro-architectural attacks rely on the capability of an attacker t...
research
12/02/2020

Online Model Swapping in Architectural Simulation

As systems and applications grow more complex, detailed simulation takes...
research
03/04/2019

Denial-of-Service Attacks on Shared Cache in Multicore: Analysis and Prevention

In this paper we investigate the feasibility of denial-of-service (DoS) ...
research
03/30/2022

Spy in the GPU-box: Covert and Side Channel Attacks on Multi-GPU Systems

The deep learning revolution has been enabled in large part by GPUs, and...

Please sign up or login with your details

Forgot password? Click here to reset