CLEAR: Cross-Layer Exploration for Architecting Resilience - Combining Hardware and Software Techniques to Tolerate Soft Errors in Processor Cores

04/11/2016
by   Eric Cheng, et al.
0

We present a first of its kind framework which overcomes a major challenge in the design of digital systems that are resilient to reliability failures: achieve desired resilience targets at minimal costs (energy, power, execution time, area) by combining resilience techniques across various layers of the system stack (circuit, logic, architecture, software, algorithm). This is also referred to as cross-layer resilience. In this paper, we focus on radiation-induced soft errors in processor cores. We address both single-event upsets (SEUs) and single-event multiple upsets (SEMUs) in terrestrial environments. Our framework automatically and systematically explores the large space of comprehensive resilience techniques and their combinations across various layers of the system stack (586 cross-layer combinations in this paper), derives cost-effective solutions that achieve resilience targets at minimal costs, and provides guidelines for the design of new resilience techniques. We demonstrate the practicality and effectiveness of our framework using two diverse designs: a simple, in-order processor core and a complex, out-of-order processor core. Our results demonstrate that a carefully optimized combination of circuit-level hardening, logic-level parity checking, and micro-architectural recovery provides a highly cost-effective soft error resilience solution for general-purpose processor cores. For example, a 50x improvement in silent data corruption rate is achieved at only 2.1 for an out-of-order core (6.1 However, selective circuit-level hardening alone, guided by a thorough analysis of the effects of soft errors on application benchmarks, provides a cost-effective soft error resilience solution as well (with 1 energy cost for a 50x improvement in silent data corruption rate).

READ FULL TEXT

page 1

page 2

page 3

page 4

research
09/28/2017

Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience)

We present CLEAR (Cross-Layer Exploration for Architecting Resilience), ...
research
02/18/2022

Lightweight Soft Error Resilience for In-Order Cores

Acoustic-sensor-based soft error resilience is particularly promising, s...
research
01/13/2020

SERAD: Soft Error Resilient Asynchronous Design using a Bundled Data Protocol

The risk of soft errors due to radiation continues to be a significant c...
research
11/19/2018

Architectural-Space Exploration of Heterogeneous Reliability and Checkpointing Modes for Out-of-Order Superscalar Processors

Reliability has emerged as a key topic of interest for researchers aroun...
research
08/17/2020

A Microservices Architecture for Distributed Complex Event Processing in Smart Cities

A considerable volume of data is collected from sensors today and needs ...
research
12/01/2021

Software Variants for Hardware Trojan Detection and Resilience in COTS Processors

The commercial off-the-shelf (COTS) component based ecosystem provides a...
research
11/19/2018

Heterogeneous Reliability Modes with Efficient State Compression for Out-of-Order Superscalar Processors

Reliability has emerged as a key topic of interest for researchers aroun...

Please sign up or login with your details

Forgot password? Click here to reset