ChewBaccaNN: A Flexible 223 TOPS/W BNN Accelerator

05/12/2020
by   Renzo Andri, et al.
0

Binary Neural Networks enable smart IoT devices, as they significantly reduce the required memory footprint and computational complexity while retaining a high network performance and flexibility. This paper presents ChewBaccaNN, a 0.7 mm^2 sized binary CNN accelerator designed in globalfoundries 22 nm technology. By exploiting efficient data re-use, data buffering, latch-based memories, and voltage scaling, a throughput of 233 GOPS is achieved while consuming just 1.2 mW at 0.4V/154MHz for the inference of binary CNNs with 7x7 kernels, leading to a core energy efficiency of 223 TOPS/W. This is up to 4.4x better than other specialized binary accelerators while supporting full flexibility in kernel configurations. With as little as 3.9 mJ, using an 8-fold ResNet-18, a Top-1 accuracy on ImageNet of 67.5 1.8

READ FULL TEXT
research
05/17/2022

A Silicon Photonic Accelerator for Convolutional Neural Networks with Heterogeneous Quantization

Parameter quantization in convolutional neural networks (CNNs) can help ...
research
09/02/2019

SPRING: A Sparsity-Aware Reduced-Precision Monolithic 3D CNN Accelerator Architecture for Training and Inference

CNNs outperform traditional machine learning algorithms across a wide ra...
research
07/08/2017

A Reconfigurable Streaming Deep Convolutional Neural Network Accelerator for Internet of Things

Convolutional neural network (CNN) offers significant accuracy in image ...
research
02/03/2023

An Optical XNOR-Bitcount Based Accelerator for Efficient Inference of Binary Neural Networks

Binary Neural Networks (BNNs) are increasingly preferred over full-preci...
research
03/05/2018

Hyperdrive: A Systolically Scalable Binary-Weight CNN Inference Engine for mW IoT End-Nodes

Deep neural networks have achieved impressive results in computer vision...
research
07/09/2018

XNOR Neural Engine: a Hardware Accelerator IP for 21.6 fJ/op Binary Neural Network Inference

Binary Neural Networks (BNNs) are promising to deliver accuracy comparab...
research
11/03/2020

CUTIE: Beyond PetaOp/s/W Ternary DNN Inference Acceleration with Better-than-Binary Energy Efficiency

We present a 3.1 POp/s/W fully digital hardware accelerator for ternary ...

Please sign up or login with your details

Forgot password? Click here to reset