BP-NTT: Fast and Compact in-SRAM Number Theoretic Transform with Bit-Parallel Modular Multiplication

03/01/2023
by   Jingyao Zhang, et al.
0

Number Theoretic Transform (NTT) is an essential mathematical tool for computing polynomial multiplication in promising lattice-based cryptography. However, costly division operations and complex data dependencies make efficient and flexible hardware design to be challenging, especially on resource-constrained edge devices. Existing approaches either focus on only limited parameter settings or impose substantial hardware overhead. In this paper, we introduce a hardware-algorithm methodology to efficiently accelerate NTT in various settings using in-cache computing. By leveraging an optimized bit-parallel modular multiplication and introducing costless shift operations, our proposed solution provides up to 29x higher throughput-per-area and 2.8-100x better throughput-per-area-per-joule compared to the state-of-the-art.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
10/23/2021

Low-Latency VLSI Architectures for Modular Polynomial Multiplication via Fast Filtering and Applications to Lattice-Based Cryptography

This paper presents a low-latency hardware accelerator for modular polyn...
research
07/27/2023

Accelerating Polynomial Modular Multiplication with Crossbar-Based Compute-in-Memory

Lattice-based cryptographic algorithms built on ring learning with error...
research
10/06/2022

Towards the Multiple Constant Multiplication at Minimal Hardware Cost

Multiple Constant Multiplication (MCM) over integers is a frequent opera...
research
06/21/2023

NTT-Based Polynomial Modular Multiplication for Homomorphic Encryption: A Tutorial

Homomorphic Encryption (HE) allows any third party to operate on the enc...
research
02/17/2022

MeNTT: A Compact and Efficient Processing-in-Memory Number Theoretic Transform (NTT) Accelerator

Lattice-based cryptography (LBC) exploiting Learning with Errors (LWE) p...
research
11/24/2022

Number Theoretic Transform and Its Applications in Lattice-based Cryptosystems: A Survey

Number theoretic transform (NTT) is the most efficient method for multip...
research
08/29/2023

Area Efficient Modular Reduction in Hardware for Arbitrary Static Moduli

Modular reduction is a crucial operation in many post-quantum cryptograp...

Please sign up or login with your details

Forgot password? Click here to reset