Assertion Based Functional Verification of March Algorithm Based MBIST Controller

06/22/2021
by   Ashwani Kumar, et al.
0

The thesis work presents assertion based functional verification of RTL representation of a digital design. The MBIST controller is designed based on a memory testing March algorithm. This March algorithm is a little modified March C algorithm which is modified by adding a paused element to test memory data retention faults. In assertion based functional verification, creation of verification plan, for MBIST controller RTL model and the implementation simulation of the verification plan using System-Verilog and Synopsys-VCS are done. In ABV, verification plan includes the MBIST controller design and functional specification, functional coverage goals, code coverage goals, and assertions. Assertions are used to check the errors in RTL model of MBIST controller and to provide the functionality coverage. Functional coverage metrics are used to track the level or quality of verification. Most of the functional metrics score approximately reached the planned goal of 100 is planned in the verification plan. The designed MBIST controller is verified against the intended features. ABV approach helped to make the verification and design process efficient and less time-consuming by finding the bugs, exercising the corner cases in the design, and using the directed test cases in a small design. ABV helped to write directed and efficient test cases (25) which are approx 32 (88) for designed MBIST controller with 100 approximately equal total functional coverage, i.e., 97 ABV helped to fasten the design and verification process with better quality and assurance of correct functionality of MBIST controller after the integration in MBIST architecture.

READ FULL TEXT

page 1

page 7

page 8

page 10

page 13

page 15

page 39

page 41

research
03/20/2019

Prioritized Process Test: An Alternative to Current Process Testing Strategies

Testing processes and workflows in information and Internet of Things sy...
research
05/17/2022

Supervised Learning for Coverage-Directed Test Selection in Simulation-Based Verification

Constrained random test generation is one of the most widely adopted met...
research
09/28/2018

UVM Based Reusable Verification IP for Wishbone Compliant SPI Master Core

The System on Chip design industry relies heavily on functional verifica...
research
05/19/2022

Hybrid Intelligent Testing in Simulation-Based Verification

Efficient and effective testing for simulation-based hardware verificati...
research
05/09/2022

Test Generation for SystemC designs by interlaced Greybox Fuzzing and Concolic Execution

Recent success in high-level synthesis ( HLS ) has enabled designing com...
research
07/01/2022

Using Neural Networks for Novelty-based Test Selection to Accelerate Functional Coverage Closure

Machine learning (ML) has been used to accelerate the closure of functio...
research
09/28/2019

Optimizing Design Verification using Machine Learning: Doing better than Random

As integrated circuits have become progressively more complex, constrain...

Please sign up or login with your details

Forgot password? Click here to reset