Architecting Optically-Controlled Phase Change Memory

07/24/2021
by   Aditya Narayan, et al.
0

Phase Change Memory (PCM) is an attractive candidate for main memory as it offers non-volatility and zero leakage power, while providing higher cell densities, longer data retention time, and higher capacity scaling compared to DRAM. In PCM, data is stored in the crystalline or amorphous state of the phase change material. The typical electrically-controlled PCM (EPCM), however, suffers from longer write latency and higher write energy compared to DRAM and limited multi-level cell (MLC) capacities. These challenges limit the performance of data-intensive applications running on computing systems with EPCMs. Recently, researchers demonstrated optically-controlled PCM (OPCM) cells, with support for 5 bits/cell in contrast to 2 bits/cell in EPCM. These OPCM cells can be accessed directly with optical signals that are multiplexed in high-bandwidth-density silicon-photonic links. The higher MLC capacity in OPCM and the direct cell access using optical signals enable an increased read/write throughput and lower energy per access than EPCM. However, due to the direct cell access using optical signals, OPCM systems cannot be designed using conventional memory architecture. We need a complete redesign of the memory architecture that is tailored to the properties of OPCM technology. This paper presents the design of a unified network and main memory system called COSMOS that combines OPCM and silicon-photonic links to achieve high memory throughput. COSMOS is composed of a hierarchical multi-banked OPCM array with novel read and write access protocols, and uses an Electrical-Optical-Electrical (E-O-E) control unit to interface with the processor. Our evaluation of a 2.5D-integrated system containing a processor and COSMOS demonstrates 2.14x average speedup compared to an EPCM system. COSMOS consumes 3.8x lower read energy-per-bit and 5.97x lower write energy-per-bit compared to EPCM.

READ FULL TEXT

page 1

page 2

page 6

research
03/28/2023

Design Space Exploration for PCM-based Photonic Memory

The integration of silicon photonics (SiPh) and phase change materials (...
research
11/23/2017

Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM

Phase change memory (PCM) has recently emerged as a promising technology...
research
09/21/2021

Endurance-Limited Memories: Capacity and Codes

Resistive memories, such as phase change memories and resistive random a...
research
04/07/2020

SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory

Several emerging technologies for byte-addressable non-volatile memory (...
research
02/28/2022

Piezoelectric Strain FET (PeFET) based Non-Volatile Memories

We propose non-volatile memory (NVM) designs based on Piezoelectric Stra...
research
12/15/2021

ELight: Enabling Efficient Photonic In-Memory Neurocomputing with Life Enhancement

With the recent advances in optical phase change material (PCM), photoni...
research
03/30/2022

STeP-CiM: Strain-enabled Ternary Precision Computation-in-Memory based on Non-Volatile 2D Piezoelectric Transistors

We propose 2D Piezoelectric FET (PeFET) based compute-enabled non-volati...

Please sign up or login with your details

Forgot password? Click here to reset