An Artificial Neural Networks based Temperature Prediction Framework for Network-on-Chip based Multicore Platform

12/12/2016
by   Sandeep Aswath Narayana, et al.
0

Continuous improvement in silicon process technologies has made possible the integration of hundreds of cores on a single chip. However, power and heat have become dominant constraints in designing these massive multicore chips causing issues with reliability, timing variations and reduced lifetime of the chips. Dynamic Thermal Management (DTM) is a solution to avoid high temperatures on the die. Typical DTM schemes only address core level thermal issues. However, the Network-on-chip (NoC) paradigm, which has emerged as an enabling methodology for integrating hundreds to thousands of cores on the same die can contribute significantly to the thermal issues. Moreover, the typical DTM is triggered reactively based on temperature measurements from on-chip thermal sensor requiring long reaction times whereas predictive DTM method estimates future temperature in advance, eliminating the chance of temperature overshoot. Artificial Neural Networks (ANNs) have been used in various domains for modeling and prediction with high accuracy due to its ability to learn and adapt. This thesis concentrates on designing an ANN prediction engine to predict the thermal profile of the cores and Network-on-Chip elements of the chip. This thermal profile of the chip is then used by the predictive DTM that combines both core level and network level DTM techniques. On-chip wireless interconnect which is recently envisioned to enable energy-efficient data exchange between cores in a multicore environment, will be used to provide a broadcast-capable medium to efficiently distribute thermal control messages to trigger and manage the DTM schemes.

READ FULL TEXT

page 12

page 25

page 27

research
03/19/2020

Report on power, thermal and reliability prediction for 3D Networks-on-Chip

By combining Three Dimensional Integrated Circuits with the Network-on-C...
research
09/06/2020

An SMDP-Based Approach to Thermal-Aware Task Scheduling in NoC-based MPSoC platforms

One efficient approach to control chip-wide thermal distribution in mult...
research
09/10/2022

A Thermal Machine Learning Solver For Chip Simulation

Thermal analysis provides deeper insights into electronic chips behavior...
research
02/13/2022

Energy Conscious Dynamic Window Scheduling of Chip Multiprocessors

The need to develop systems that exploit multi and many-core architectur...
research
09/30/2021

Accelerating Fully Connected Neural Network on Optical Network-on-Chip (ONoC)

Fully Connected Neural Network (FCNN) is a class of Artificial Neural Ne...
research
05/20/2017

The Effect of Temperature on Amdahl Law in 3D Multicore Era

This work studies the influence of temperature on performance and scalab...
research
08/28/2018

TRINITY: Coordinated Performance, Energy and Temperature Management in 3D Processor-Memory Stacks

The consistent demand for better performance has lead to innovations at ...

Please sign up or login with your details

Forgot password? Click here to reset