Accelerating Transient Fault Injection Campaigns by using Dynamic HDL Slicing

01/24/2020
by   Ahmet Cagri Bagbaba, et al.
0

Along with the complexity of electronic systems for safety-critical applications, the cost of safety mechanisms evaluation by fault injection simulation is rapidly going up. To reduce these efforts, we propose a fault injection methodology where Hardware Description Language (HDL) code slicing is exploited to accelerate transient fault injection campaigns by pruning fault lists and reducing the number of the injections. In particular, the dynamic HDL slicing technique provides for a critical fault list and allows avoiding injections at non-critical time-steps. Experimental results on an industrial core show that the proposed methodology can successfully reduce the number of injections by up to 10 percent and speed-up the fault injection campaigns.

READ FULL TEXT
research
01/24/2020

Efficient Fault Injection based on Dynamic HDL Slicing Technique

This work proposes a fault injection methodology where Hardware Descript...
research
08/10/2023

Checkpoint Placement for Systematic Fault-Injection Campaigns

Shrinking hardware structures and decreasing operating voltages lead to ...
research
06/22/2019

ZOFI: Zero-Overhead Fault Injection Tool for Fast Transient Fault Coverage Analysis

The experimental evaluation of fault-tolerance studies relies on tools t...
research
03/07/2023

Combining static analysis and dynamic symbolic execution in a toolchain to detect fault injection vulnerabilities

Certification through auditing allows to ensure that critical embedded s...
research
08/31/2020

Machine Learning Clustering Techniques for Selective Mitigation of Critical Design Features

Selective mitigation or selective hardening is an effective technique to...
research
11/28/2020

Rewrite to Reinforce: Rewriting the Binary to Apply Countermeasures against Fault Injection

Fault injection attacks can cause errors in software for malicious purpo...
research
11/23/2022

Micro-Architectural features as soft-error induced fault executions markers in embedded safety-critical systems: a preliminary study

Radiation-induced soft errors are one of the most challenging issues in ...

Please sign up or login with your details

Forgot password? Click here to reset