A System-Level Framework for Analytical and Empirical Reliability Exploration of STT-MRAM Caches

01/08/2022
by   Elham Cheshmikhani, et al.
0

Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM technology in large Last-Level Caches (LLCs). Despite its high-density, non-volatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM-based cache suffers from high error rates mainly due to retention failure, read disturbance, and write failure. Existing studies are limited to estimating the rate of only one or two of these error types for STT-MRAM cache. However, the overall vulnerability of STT-MRAM caches, which its estimation is a must to design cost-efficient reliable caches, has not been offered in any of previous studies. In this paper, we propose a system-level framework for reliability exploration and characterization of errors behavior in STT-MRAM caches. To this end, we formulate the cache vulnerability considering the inter-correlation of the error types including all three errors as well as the dependency of error rates to workloads behavior and Process Variations (PVs). Our analysis reveals that STT-MRAM cache vulnerability is highly workload-dependent and varies by orders of magnitude in different cache access patterns. Our analytical study also shows that this vulnerability divergence significantly increases by process variations in STT-MRAM cells. To evaluate the framework, we implement the error types in the gem5 full-system simulator, and the experimental results show that the total error rate in a shared LLC varies by 32.0x for different workloads. A further 6.5x vulnerability variation is observed when considering PVs in the STT-MRAM cells. In addition, the contribution of each error type in total LLC vulnerability highly varies in different cache access patterns and moreover, error rates are differently affected by PVs.

READ FULL TEXT

page 1

page 12

page 13

page 16

research
01/12/2022

TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches

As technology process node scales down, on-chip SRAM caches lose their e...
research
12/01/2019

Evaluating Reliability of SSD-Based I/O Caches in Enterprise Storage Systems

In this paper, we present a comprehensive analysis investigating the rel...
research
08/16/2022

EXTENT: Enabling Approximation-Oriented Energy Efficient STT-RAM Write Circuit

Spin Transfer Torque Random Access Memory (STT-RAM) has garnered interes...
research
06/29/2020

An Imitation Learning Approach for Cache Replacement

Program execution speed critically depends on increasing cache hits, as ...
research
02/13/2019

Towards a Better Indicator for Cache Timing Channels

Recent studies highlighting the vulnerability of computer architecture t...
research
12/08/2020

DeepNVM++: Cross-Layer Modeling and Optimization Framework of Non-Volatile Memories for Deep Learning

Non-volatile memory (NVM) technologies such as spin-transfer torque magn...
research
09/28/2015

Yield, Area and Energy Optimization in Stt-MRAMs using failure aware ECC

Spin Transfer Torque MRAMs are attractive due to their non-volatility, h...

Please sign up or login with your details

Forgot password? Click here to reset