A Survey on Split Manufacturing: Attacks, Defenses, and Challenges

06/08/2020
by   Tiago D. Perez, et al.
0

In today's integrated circuit (IC) ecosystem, owning a trusted foundry is not economically viable and therefore most IC design houses are now working under a fabless business model. In order to overcome the security concerns associated with fabrication outsourcing, the Split Manufacturing technique was proposed. In Split Manufacturing, the Front End of Line (FEOL) layers (transistors and lower metal layers) are fabricated at an untrusted high-end foundry, while the Back End of Line (BEOL) layers (higher metal layers) are manufactured at a trusted low-end foundry. This approach hides the BEOL connections from the untrusted foundry, thus preventing overproduction and piracy threats. However, many works demonstrate that BEOL connections can be derived by exploiting layout characteristics that are introduced by heuristics employed in typical floorplanning, placement, and routing algorithms. Since straightforward Split Manufacturing may not afford a desirable security level, many authors propose defense techniques to be used along with Split Manufacturing. In this work, we present a detailed overview of the technique, the many types of attacks towards Split Manufacturing, as well as possible defense techniques described in the literature. For the attacks, we present a concise discussion on the different threat models and assumptions, while for the defenses we classify the studies into three categories: proximity perturbation, wire lifting, and layout obfuscation. The main outcome of our survey is to highlight the discrepancy between many studies – some claim netlists can be reconstructed with near perfect precision, while others claim marginal success in retrieving BEOL connections. Finally, we also discuss future trends and challenges inherent to Split Manufacturing, including the fundamental difficulty of evaluating the efficiency of the technique.

READ FULL TEXT

page 5

page 6

page 8

page 9

page 10

page 12

page 13

page 21

research
03/07/2019

A New Paradigm in Split Manufacturing: Lock the FEOL, Unlock at the BEOL

Split manufacturing was introduced as an effective countermeasure agains...
research
11/16/2018

Best of Both Worlds: Integration of Split Manufacturing and Camouflaging into a Security-Driven CAD Flow for 3D ICs

With the globalization of manufacturing and supply chains, ensuring the ...
research
06/24/2018

Raise Your Game for Split Manufacturing: Restoring the True Functionality Through BEOL

Split manufacturing (SM) seeks to protect against piracy of intellectual...
research
05/15/2019

Threats on Logic Locking: A Decade Later

To reduce the cost of ICs and to meet the market's demand, a considerabl...
research
08/11/2019

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects

Split manufacturing (SM) and layout camouflaging (LC) are two promising ...
research
06/20/2019

Defensive Routing: a Preventive Layout-Level Defense Against Untrusted Foundries

Since the inception of the integrated circuit (IC), the size of the tran...
research
05/20/2020

Securing Digital Systems via Split-Chip Obfuscation

Security is an important facet of integrated circuit design for many app...

Please sign up or login with your details

Forgot password? Click here to reset