A Study of Runtime Adaptive Prefetching for STTRAM L1 Caches

09/24/2020
by   Kyle Kuan, et al.
0

Spin-Transfer Torque RAM (STTRAM) is a promising alternative to SRAM in on-chip caches due to several advantages. These advantages include non-volatility, low leakage, high integration density, and CMOS compatibility. Prior studies have shown that relaxing and adapting the STTRAM retention time to runtime application needs can substantially reduce overall cache energy without significant latency overheads, due to the lower STTRAM write energy and latency in shorter retention times. In this paper, as a first step towards efficient prefetching across the STTRAM cache hierarchy, we study prefetching in reduced retention STTRAM L1 caches. Using SPEC CPU 2017 benchmarks, we analyze the energy and latency impact of different prefetch distances in different STTRAM cache retention times for different applications. We show that expired_unused_prefetches—the number of unused prefetches expired by the reduced retention time STTRAM cache—can accurately determine the best retention time for energy consumption and access latency. This new metric can also provide insights into the best prefetch distance for memory bandwidth consumption and prefetch accuracy. Based on our analysis and insights, we propose Prefetch-Aware Retention time Tuning (PART) and Retention time-based Prefetch Control (RPC). Compared to a base STTRAM cache, PART and RPC collectively reduced the average cache energy and latency by 22.24 respectively. When the base architecture was augmented with the state-of-the-art near-side prefetch throttling (NST), PART+RPC reduced the average cache energy and latency by 3.50 the hardware overhead by 54.55

READ FULL TEXT

page 1

page 6

research
05/18/2019

HALLS: An Energy-Efficient Highly Adaptable Last Level STT-RAM Cache for Multicore Systems

Spin-Transfer Torque RAM (STT-RAM) is widely considered a promising alte...
research
08/11/2021

Taming Process Variations in CNFET for Efficient Last Level Cache Design

Carbon nanotube field-effect transistors (CNFET) emerge as a promising a...
research
03/04/2022

AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications

User-facing applications running in modern datacenters exhibit irregular...
research
10/04/2021

HyGain: High Performance, Energy-Efficient Hybrid Gain Cell based Cache Hierarchy

In this paper, we propose a 'full-stack' solution to designing high capa...
research
08/08/2019

Energy and Performance Analysis of STTRAM Caches for Mobile Applications

Spin-Transfer Torque RAMs (STTRAMs) have been shown to offer much promis...
research
04/07/2022

Forecasting lifetime and performance of a novel NVM last-level cache with compression

Non-volatile memory (NVM) technologies are interesting alternatives for ...
research
01/14/2017

HoLiSwap: Reducing Wire Energy in L1 Caches

This paper describes HoLiSwap a method to reduce L1 cache wire energy, a...

Please sign up or login with your details

Forgot password? Click here to reset