A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs

07/12/2022
by   Zain UlAbideen, et al.
0

Numerous threats are associated with the globalized integrated circuit (IC) supply chain, such as piracy, reverse engineering, overproduction, and malicious logic insertion. Many obfuscation approaches have been proposed to mitigate these threats by preventing an adversary from fully understanding the IC (or parts of it). The use of reconfigurable elements inside an IC is a known obfuscation technique, either as a coarse grain reconfigurable block (i.e., eFPGA) or as a fine grain element (i.e., FPGA-like look-up tables). This paper presents a security-aware CAD flow that is LUT-based yet still compatible with the standard cell based physical synthesis flow. More precisely, our CAD flow explores the FPGA-ASIC design space and produces heavily obfuscated designs where only small portions of the logic resemble an ASIC. Therefore, we term this specialized solution an "embedded ASIC" (eASIC). Nevertheless, even for heavily LUT-dominated designs, our proposed decomposition and pin swapping algorithms allow for performance gains that enable performance levels that only ASICs would otherwise achieve. On the security side, we have developed novel template-based attacks and also applied existing attacks, both oracle-free and oracle-based. Our security analysis revealed that the obfuscation rate for an SHA-256 study case should be at least 45 and at least 80 obfuscated SHA-256 design is physically implemented, it achieves a remarkable frequency of 368MHz in a 65nm commercial technology, whereas its FPGA implementation (in a superior technology) achieves only 77MHz.

READ FULL TEXT

page 1

page 2

page 4

page 9

research
10/11/2021

From FPGAs to Obfuscated eASICs: Design and Security Trade-offs

Threats associated with the untrusted fabrication of integrated circuits...
research
05/25/2023

An Overview of FPGA-inspired Obfuscation Techniques

Building and maintaining a silicon foundry is a costly endeavor that req...
research
05/19/2022

Obfuscating the Hierarchy of a Digital IP

Numerous security threats are emerging from untrusted players in the int...
research
11/15/2022

X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks

Power side-channel (PSC) attacks are well-known threats to sensitive har...
research
03/05/2023

Reverse Engineering Word-Level Models from Look-Up Table Netlists

Reverse engineering of FPGA designs from bitstreams to RTL models aids i...
research
11/08/2021

Not All Fabrics Are Created Equal: Exploring eFPGA Parameters For IP Redaction

Semiconductor design houses rely on third-party foundries to manufacture...
research
03/07/2023

Word-Level Structure Identification In FPGA Designs Using Cell Proximity Information

Reverse engineering of FPGA based designs from the flattened LUT level n...

Please sign up or login with your details

Forgot password? Click here to reset