A Secure and Persistent Memory System for Non-volatile Memory

01/03/2019
by   Pengfei Zuo, et al.
0

In the non-volatile memory, ensuring the security and correctness of persistent data is fundamental. However, the security and persistence issues are usually studied independently in existing work. To achieve both data security and persistence, simply combining existing persistence schemes with memory encryption is inefficient due to crash inconsistency and significant performance degradation. To bridge the gap between security and persistence, this paper proposes SecPM, a Secure and Persistent Memory system, which consists of a counter cache write-through (CWT) scheme and a locality-aware counter write reduction (CWR) scheme. Specifically, SecPM leverages the CWT scheme to guarantee the crash consistency via ensuring both the data and its counter are durable before the data flush completes, and leverages the CWR scheme to improve the system performance via exploiting the spatial locality of counter storage, log and data writes. We have implemented SecPM in gem5 with NVMain and evaluated it using five widely-used workloads. Extensive experimental results demonstrate that SecPM reduces up to half of write requests and speeds up the transaction execution by 1.3-2.0 times via using the CWR scheme, and achieves the performance close to an un-encrypted persistent memory system for large transactions.

READ FULL TEXT
research
05/10/2017

Improving the Performance and Endurance of Persistent Memory with Loose-Ordering Consistency

Persistent memory provides high-performance data persistence at main mem...
research
07/05/2023

From Ideal to Practice: Data Encryption in eADR-based Secure Non-Volatile Memory Systems

Extended Asynchronous DRAM Refresh (eADR) proposed by Intel extends the ...
research
11/05/2019

Phoenix: Towards Persistently Secure, Recoverable, and NVM Friendly Tree of Counters

Emerging Non-Volatile Memories (NVMs) bring a unique challenge to the se...
research
03/09/2018

Persistent Memory Transactions

This paper presents a comprehensive analysis of performance trade offs b...
research
10/31/2022

Enabling Atomic Durability for Persistent Memory with Transiently Persistent CPU Cache

Persistent memory (pmem) products bring the persistence domain up to the...
research
09/08/2017

Efficient Logging in Non-Volatile Memory by Exploiting Coherency Protocols

Non-volatile memory (NVM) technologies such as PCM, ReRAM and STT-RAM al...
research
12/10/2019

A Write-Friendly and Fast-Recovery Scheme for Security Metadata in NVM

Non-Volatile Memories (NVMs) have attracted the attentions of academia a...

Please sign up or login with your details

Forgot password? Click here to reset